Search moodle.org's
Developer Documentation

See Release Notes

  • Bug fixes for general core bugs in 3.11.x will end 14 Nov 2022 (12 months plus 6 months extension).
  • Bug fixes for security issues in 3.11.x will end 13 Nov 2023 (18 months plus 12 months extension).
  • PHP version: minimum PHP 7.3.0 Note: minimum PHP version has increased since Moodle 3.10. PHP 7.4.x is supported too.

(no description)

Copyright: 2010 onwards Eloy Lafuente (stronk7) {@link http://stronk7.com}
License: http://www.gnu.org/copyleft/gpl.html GNU GPL v3 or later
File Size: 137 lines (4 kb)
Included or required: 6 times
Referenced: 0 times
Includes or requires: 0 files

Defines 9 classes

mock_base_attribute:: (0 methods):

mock_base_final_element:: (1 method):
  get_new_attribute()

mock_base_nested_element:: (2 methods):
  get_new_attribute()
  get_new_final_element()

mock_base_optigroup:: (3 methods):
  get_new_attribute()
  get_new_final_element()
  is_multiple()

mock_skip_final_element:: (1 method):
  set_value()

mock_modify_final_element:: (1 method):
  set_value()

mock_final_element_interceptor:: (1 method):
  set_value()

mock_forum_forum_interceptor:: (1 method):
  intercept_forum_completionposts()

mock_base_atom:: (1 method):
  is_set()


Class: mock_base_attribute  - X-Ref

helper extended base_attribute class that implements some methods for instantiating and testing

Class: mock_base_final_element  - X-Ref

helper extended final_element class that implements some methods for instantiating and testing

get_new_attribute($name)   X-Ref
No description

Class: mock_base_nested_element  - X-Ref

helper extended nested_element class that implements some methods for instantiating and testing

get_new_attribute($name)   X-Ref
No description

get_new_final_element($name)   X-Ref
No description

Class: mock_base_optigroup  - X-Ref

helper extended optigroup class that implements some methods for instantiating and testing

get_new_attribute($name)   X-Ref
No description

get_new_final_element($name)   X-Ref
No description

is_multiple()   X-Ref
No description

Class: mock_skip_final_element  - X-Ref

helper class that extends backup_final_element in order to skip its value

set_value($value)   X-Ref
No description

Class: mock_modify_final_element  - X-Ref

helper class that extends backup_final_element in order to modify its value

set_value($value)   X-Ref
No description

Class: mock_final_element_interceptor  - X-Ref

helper class that extends backup_final_element to delegate any calculation to another class

set_value($value)   X-Ref
No description

Class: mock_forum_forum_interceptor  - X-Ref

test interceptor class (its methods are called from interceptor)

Class: mock_base_atom  - X-Ref

Instantiable class extending base_atom in order to be able to perform tests

is_set()   X-Ref
No description